Skip to content

Latest release of Synopsys’ Design Compiler NXT is ready for broad availability

Early Adopters Realizing Up to 2X Faster Runtime, 10% Improved QoR, and Tight Correlation to IC Compiler II

Synopsys, Inc. (Nasdaq: SNPS) today announced the availability of Design Compiler® NXT, the latest innovation in the Design Compiler family of RTL synthesis products. Early adopters have deployed the new technologies in their design flows and are realizing faster runtimes and very tight correlation with Synopsys’ IC Compiler™ II place-and-route system, leading to shorter, more convergent design cycles. In addition, power and timing quality of results (QoR) improvements are enabling them to develop more competitive products for markets such as artificial intelligence (AI), 5G, and autonomous transportation.

“Increasing product complexity and performance targets are driving AMD’s need for predictable and efficient design flows that can enable high-performance designs. To help achieve these goals, we are collaborating with Synopsys on new technologies designed to accurately estimate RC and timing in synthesis and help improve prediction of place-and-route results,” said Rajit Seahra, senior fellow of physical design methodology at AMD. “With Synopsys Design Compiler NXT, we are beginning to see significant improvement in RC and timing correlation to IC Compiler II, in addition to runtime speed-up and better timing QoR. We have started to deploy Design Compiler NXT technologies and anticipate it will enable a highly convergent design flow and help AMD bring difficult designs to market faster.”

New optimizations in Design Compiler NXT include power-driven mapping and structuring techniques, concurrent clock and data (CCD) optimization, and a new approach to distributed synthesis that does not sacrifice QoR. To deliver tight correlation and superior QoR at the most advanced process nodes, Design Compiler NXT shares a common library and advanced placement technologies with IC Compiler II, in addition to aligned RC, net topology, and density modeling.

“Our continued investment in the Design Compiler family of products has resulted once again in the delivery of breakthrough synthesis innovations,” said Shankar Krishnamoorthy, senior vice president of engineering, Design Group at Synopsys. “Our customers seamlessly deploy Design Compiler NXT in their production flows and quickly realize the benefits of the runtime, QoR, and convergence improvements it delivers.”

SOURCE: Synopsys

Welcome back , to continue browsing the site, please click here